JKfeng1010的博客一个电子工程师的自我修炼.

AM335x硬件开发使用指南(四)

技术流分析

JKFENG 2018-05-31

一、AM335x硬件开发使用指南(四)

Functional Block Diagram

这里写图片描述

四、Display

1、24-bit LCD controller 24位的液晶显示控制,有时候开发的也会用到16位,下面贴上AM335X对于这着24位的引脚定义,方面各位后续开发对于引脚的引出

这里写图片描述

AM335X中LCDC由两个独立的控制器,光栅控制器和LCD接口显示驱动器(LIDD)控制器组成。每个控制器都独立于另一个控制器运行,并且在任何给定时间只有其中一个控制器处,由下图可以知道数据在寄存器后分为了两条线:Raster controller、LIDD controller。 这里写图片描述

TTL信号是TFT-LCD能识别的标准信号,就算是以后用到的LVDS TMDS 都是在它的基础上编码得来的。 TTL信号线基本都是由以下的信号线组成: RGB三基色 水平同步(行同步)HSYNC 垂直同步(帧同步)VSYNC 使能信号DE 时钟信号PCLK 数据信号DATA[0..23]

LVDS(低压差分信号)的工作原理是用一颗专门的IC,把输入的TTL信编码成LVDS 信号 6位为4组差分(6位屏就没有D3- D3+这一组信号) 8位为5组差分 数据线名称为D0- D0+ D1- D1+ D2- D2+ CK- CK+ D3- D3+ 在屏的另一边,也有一颗相同功能的解码IC,把LVDS信号变成TTL信号,屏最终用的还是TTL信号,因为LVDS信号电平为1V左右,而且-线和+线之间的干扰还能相互抵消。所以抗干扰能力非常强。 很适合用在高分辩率所带来高码率的屏上。

这里写图片描述


2、Touch screen controller

AM335X中触摸屏控制器(TSC)和模数转换器(ADC)子系统(TSC_ADC)是一款8通道通用ADC,可选支持4线,5线或8线交叉TSC转换电阻面板。 TSC_ADC子系统可以配置为在以下应用程序中使用:

• 8 general-purpose ADC channels

• 4-wire TSC with 4 general-purpose ADC channels 这里写图片描述

• 5-wire TSC with 3 general-purpose ADC channels 这里写图片描述

• 8-wire TSC. 这里写图片描述

而我们在实际应用中,使用比较多触摸屏往往是四导线制,并且用的I2C或则SPI方案。

下图为使用的SPI方案,Input和Output引脚接的是SPI的DATE_IN 和 DATE_OUT

这里写图片描述

如果是使用I2C方案的话,输入引脚就只涉及到了时钟脚SLK和IO脚SDA了。


欢迎关注本人公众号:

这里写图片描述

AM335x硬件开发使用指南(三) https://mp.weixin.qq.com/s/LHOcr66ktBisaYdORG-2cQ https://blog.csdn.net/weixin_42124889/article/details/80571506

AMR335x硬件开发使用指南(二) https://mp.weixin.qq.com/s/krjhaz7LvXxiT2a9pvnAFw

AM335x硬件开发使用指南(一) https://blog.csdn.net/weixin_42124889/article/details/80514842

聊聊无线充电——华为Mate RS保时捷版无线充电器详解(上篇) https://blog.csdn.net/weixin_42124889/article/details/80290757

聊聊无线充电——华为Mate RS保时捷版无线充电器详解(下篇) https://mp.weixin.qq.com/s/9o8-7YANXCiydjQ8u-EDsg

手机快充技术——快充技术&硬件详解 https://blog.csdn.net/weixin_42124889/article/details/80255434

一文知晓高通晓龙845 https://mp.weixin.qq.com/s?__biz=MzU2NjYwMzQ1Ng==&mid=2247483678&idx=1&sn=c396ca9582a7f5124e0b00cb94d6a482&chksm=fca8bab1cbdf33a79189346c9ed964bca08f446427f67a509b3577bec2fd533fe6aeb39d5eaf#rd

A12 chip即将来临,是IPhone XI? https://mp.weixin.qq.com/s/j3IJdhY1CKS7aW120zgs8Q

AM335x硬件开发使用指南(二)

技术流分析

JKFENG 2018-05-31

AM335x硬件开发使用指南(二)

Functional Block Diagram

这里写图片描述

二、系统端资源

1、Enhanced direct memory access(eDMA)

EDMA用于:传输到片上存储器和从片上存储器传输和传输到外部存储器(EMIF,GPMC,从器件外设)上。简单的来说,EDMA(增强型DMA控制器)是从硬件角度处理数据传输的问题,大大加速了数据复制速度,而不过多占用软件资源。

同时335X片子的EDMA 具有三个第三方传输控制器(TPTC)和一个第三方通道控制器(TPCC) 支持多达64个可编程逻辑通道和八个QDMA通道。

由此判断从硬件的角度出发,用335X来开发大数据处理是没什么问题的。

如果真的对用335X开发大数据有兴趣的,建议可以看下EDMA的引擎和TCD单元。

PS:eDMA模块包括两个主要模块:eDMA引擎和传输控制描述符TCD单元。其中,eDMA引擎的功能主要包括源地址和目的地址的计算和实际的数据搬移操作;TCD单元的功能是为每个通道定义传输的源地址和目的地址、次循环的大小、单次传输的字节量及传输方式等信息。 这里写图片描述


2、Timers

拥有8个的Timers,感觉Timers网上资料特别多,也比较基础,因此不多聊,贴上一图 这里写图片描述


3、WatchDog Timer(WDT)

Watchdog Timer,几时就是一个定时器电路,对系统频率进行分频计数,定时器溢出时,对系统进行复位。 一般有一个输入,叫喂狗(kicking the dog or service the dog),一个输出到MCU的RST端。MCU正常工作的时候,每隔一段时间输出一个信号到喂狗端,给WDT清零,如果超过规定的时间不喂狗(一般在程序跑飞时),WDT定时超过预先设定值,就会给出一个复位信号到MCU,使MCU重新开始工作。看门狗的作用就是防止程序发生死循环,或者叫程序跑飞。

而现在基本所有的Soc芯片,基本都集成WDT功能,因此对WDT的实现目前都基于软件开发了。 为了大家更好的理解,这里贴一张以前对于WDT的电路设计 这里写图片描述

而软件模拟看门狗,只要有个timer就可以模拟。 一般可以调用一个类似watchdog(void)的函数,因为由于软件平台不一样可能对WDT的软件处理方式不一样,但是原理基本一致,这一点所有软件包括了以前的电路设计都是一样的,只不过现在基于方便性和成本,而用软件来处理同一件事罢了。


4、Real-time clock(RTC) 时钟电路,为了不掉电,一般都需要长期有电。这个也是为什么你电脑主机里面有个纽扣电池的原因。 这里写图片描述


5、Enhanced high-resolution PWM modules(eHRPWM) 拥有3路的eHRPEM。 脉宽调制子系统的组成之一,另外两个则是强采集(eCAP),强正交编码脉冲(eQEP) 可以在最小的CPU开销下产生复杂的脉宽波形。 这个设计到低层驱动的问题了。不加以谈论。


6、Enhanced quadrature encoder pulse (eQEP) 拥有3路的eQEP。 这里写图片描述 增强的正交编码器脉冲主要功能单元 •每个引脚(GPIO MUX的一部分)的可编程输入资格 •正交解码器单元(QDU) •用于位置测量的位置计数器和控制单元PCCU) •用于低速测量的正交边缘捕获单元(QCAP) •用于速度/频率测量的单位时基(UTIME)•用于检测失速的看门狗定时器(QWDOG)


7、Power, Reset, and Clock Management (PRCM)

一个电源、复位和时钟的管理系统。

8、Enhanced capture modules (eCAP) 拥有3路的eCAP 这里写图片描述

三、Parallel

这个下次再更新吧。

欢迎关注我的公众号

这里写图片描述

AM335x硬件开发使用指南(三) https://mp.weixin.qq.com/s/LHOcr66ktBisaYdORG-2cQ https://blog.csdn.net/weixin_42124889/article/details/80571506

AMR335x硬件开发使用指南(二) https://mp.weixin.qq.com/s/krjhaz7LvXxiT2a9pvnAFw

AM335x硬件开发使用指南(一) https://blog.csdn.net/weixin_42124889/article/details/80514842

聊聊无线充电——华为Mate RS保时捷版无线充电器详解(上篇) https://blog.csdn.net/weixin_42124889/article/details/80290757

聊聊无线充电——华为Mate RS保时捷版无线充电器详解(下篇) https://mp.weixin.qq.com/s/9o8-7YANXCiydjQ8u-EDsg

手机快充技术——快充技术&硬件详解 https://blog.csdn.net/weixin_42124889/article/details/80255434

一文知晓高通晓龙845 https://mp.weixin.qq.com/s?__biz=MzU2NjYwMzQ1Ng==&mid=2247483678&idx=1&sn=c396ca9582a7f5124e0b00cb94d6a482&chksm=fca8bab1cbdf33a79189346c9ed964bca08f446427f67a509b3577bec2fd533fe6aeb39d5eaf#rd

A12 chip即将来临,是IPhone XI? https://mp.weixin.qq.com/s/j3IJdhY1CKS7aW120zgs8Q

AM335x硬件开发使用指南(三)

技术流分析

JKFENG 2018-05-31

一、AM335x硬件开发使用指南(三)

Functional Block Diagram

这里写图片描述

三、Parallel

由于本人认为翻译为并行功能特别的土,所以就直接用上Parallel这个单词了

下面进入主题

前言 AMR335X包含多媒体卡(MMC),安全数字(SD)和安全数字I / O(SDIO)3个高速接口模块(MMCHS)。AMR335X为MMC,SD存储卡或SDIO卡提供接口。

而且对于这3个接口(MMC/SD/SDIO)来说都是使用以下的几个引脚:

• MMC_CMD This pin is used for two-way communication between the connected card and the MMC/SD/SDIO controller. The MMC/SD/SDIO controller transmits commands to the card and the memory card drives responses to the commands on this pin;

• MMC_DAT7-0 Depending on which type of card you are using, you may need to connect 1, 4, or 8 data lines. The number of DAT pins (the data bus width) is set by the Data Transfer Width (DTW) bit in the MMC control register (SD_HCTL). For more information, see the registers section of this document;

• MMC_CLK This pin provides the clock to the memory card from the MMC/SD controller;

• MMC_POW Used for MMC/SD card’s cards on/off power supply control. When high, denotes power-on condition;

• MMC_SDCD This input pin serves as the MMC/SD/SDIO carrier detect. This signal is received from a mechanical switch on the slot;

• MMC_SDWP This input pin is used for the SD/SDIO card’s write protect. This signal is received from a mechanical protect switch on the slot (system dependant). Applicable only for SD and SDIO cards that have a mechanical sliding tablet on the side of the card。 这里写图片描述

1、Multimedia Card(MMC)

用AMR335X设计多媒体基本准从如下 这里写图片描述

用到CLK时钟脚/CMD命令脚和DATA[0:7]八位数据线


2、SD

这里写图片描述

SD卡的通信支持两种方式一种是SD总线模式、一种是SPI模式(对几个使用的定义不一样)

而实际使用中,我们是可能插SD卡使用,也有可能不插SD卡使用,因此不插SD卡使用时,这几个信号交就存在浮空状态了,因此硬件设计中会通过给CMD和DATE脚上拉个10K的电阻来处理浮空问题。

这里写图片描述

3、Secure Digital I/O (SDIO) AMR335X拥有3路的SDIO 这里写图片描述


4、GPIO AMR335X一共有4组通用输入输出 每组输入输出有32个引脚。 你可要用这些GPIO来开发很多东西,包括了键盘、或则PWB捕获等等。

其中第一组GPIO0和其他三组有点小区别, GPIO0 is in the Wakeup domain and may be used to wakeup the device via external sources. GPIO[1:3] are located in the peripheral domain. 这里写图片描述

转至: https://mp.weixin.qq.com/s/LHOcr66ktBisaYdORG-2cQ

欢迎关注本人公众号: 这里写图片描述

AM335x硬件开发使用指南(一) https://blog.csdn.net/weixin_42124889/article/details/80514842

AMR335x硬件开发使用指南(二) https://mp.weixin.qq.com/s/krjhaz7LvXxiT2a9pvnAFw

聊聊无线充电——华为Mate RS保时捷版无线充电器详解(上篇) https://blog.csdn.net/weixin_42124889/article/details/80290757

聊聊无线充电——华为Mate RS保时捷版无线充电器详解(下篇) https://mp.weixin.qq.com/s/9o8-7YANXCiydjQ8u-EDsg

手机快充技术——快充技术&硬件详解 https://blog.csdn.net/weixin_42124889/article/details/80255434

一文知晓高通晓龙845 https://mp.weixin.qq.com/s?__biz=MzU2NjYwMzQ1Ng==&mid=2247483678&idx=1&sn=c396ca9582a7f5124e0b00cb94d6a482&chksm=fca8bab1cbdf33a79189346c9ed964bca08f446427f67a509b3577bec2fd533fe6aeb39d5eaf#rd

A12 chip即将来临,是IPhone XI? https://mp.weixin.qq.com/s/j3IJdhY1CKS7aW120zgs8Q

AM335x硬件开发使用指南(一)

But do you scream?

Wferr 2015-04-24

AM335x硬件开发使用指南(一)

Functional Block Diagram ————————

这里写图片描述

基本硬件开发的所有335X的接口资源都在上图中显示出来了。 下面来详细分析下Functional Block Diagram里面的一些资源

一、串口类

1、UART: 拥有6路的UART All UARTs Support IrDA and CIR Modes • All UARTs Support RTS and CTS Flow Control • UART1 Supports Full Modem Control 电路中如果需要用到485、232通信基本都在这6路UART里转换

2、SPI: 拥有2路的SPI,一般其中一路会用来接FLASH(SPI FLASH) 另外一路则外开发的扩展功能使用,当然有时候也会将SPI FLASH舍去 这里写图片描述

3、McASP: 拥有2路的McASP,即我们的音频信号接口,涉及到I2S 这里写图片描述

4、CAN: 拥有2路的CAN 这里写图片描述

硬件上CAN总线的设计,记得末端的阻抗匹配,因为以往看到的很多电路图中,很多人都会把这个电阻给遗忘点,虽然不加这个电阻,硬件电路也是能用的,但是一旦涉及到了较高速的时候,就有丢失数据的风险了。

5、USB 2.0 HS: 注意这里使用的USB 2.0 HS,即支持USB2.0的最高传输速度(High-speed模式)

PS:的USB2.0标准将USB接口速度划分为三类,分别是传输速率在25Mbps-400 Mbps (最大480 Mbps)的High-speed接口(简称HS);传输速率在500Kbps-10Mbps(最大12Mbps)的Full-speed接口(简称FS);传输速率在10kbps-400 100kbps (最大1.5Mbps)的Low-speed接口(简称LS)

如果硬件电路上需要使用到HS模式的话,USB这对查分线需要做一定的处理:HUB_USBx_DM,HUB_USBx_DPshould be routed in 90 ohm differential impedance matching control 这里写图片描述

6、Ethernet Media Access Controller (EMAC)(2-port) 10M, 100M, 1G IEEE 1588v2, and switch(MII, RMII, RGMII)

达到1G速率的网口功能,支持IEEE 1588V2 协议的高精度时钟。 这里写图片描述

其实在本人的设计中,曾经碰到了一个处理了比较久的问题,那时候选用的方案是基于AM3352和MICROCHIP(美国微芯)的千兆以太网芯片KSZ9031; 一开始出现了网口ping不通问题,后来处理了后,又出现丢包问题。这个先抛出这个问题,以后有空再来好好分析下这些问题的处理。

二、System

这个下次再更新吧。

欢迎关注本人公众号:

这里写图片描述

AM335x硬件开发使用指南

聊聊无线充电——华为Mate RS保时捷版无线充电器详解(上篇) https://blog.csdn.net/weixin_42124889/article/details/80290757

聊聊无线充电——华为Mate RS保时捷版无线充电器详解(下篇) https://mp.weixin.qq.com/s/9o8-7YANXCiydjQ8u-EDsg

手机快充技术——快充技术&硬件详解 https://blog.csdn.net/weixin_42124889/article/details/80255434

一文知晓高通晓龙845 https://mp.weixin.qq.com/s?__biz=MzU2NjYwMzQ1Ng==&mid=2247483678&idx=1&sn=c396ca9582a7f5124e0b00cb94d6a482&chksm=fca8bab1cbdf33a79189346c9ed964bca08f446427f67a509b3577bec2fd533fe6aeb39d5eaf#rd

A12 chip即将来临,是IPhone XI? https://mp.weixin.qq.com/s/j3IJdhY1CKS7aW120zgs8Q

聊聊无线充电——华为Mate RS保时捷版无线充电器详解(上篇)

Only lights from space

Wferr 2015-04-23

聊聊无线充电——华为Mate RS保时捷版无线充电器详解(上篇)

随着华为Mate RS成为手机奢侈件的新宠后;人们聊起RS保时捷系列的话题也多了起来,今天我们来聊聊这款手机的配件——华为Mate RS保时捷版无线充电器,然后再展开聊聊无线充电这些事

一、华为Mate RS保时捷版无线充电器

这里写图片描述

主体 型号 华为无线充电器 类型 单口 品牌 华为 适用手机品牌 通用 规格 输入电压(v) 9V 输出电压(v) 5V 插口 TYPE-C

既然我们是要来聊聊技术这方面的,肯定需要将外壳拆掉的拉,下面直接上图 这里写图片描述


二、拆解后芯片分析

PCB板看来很简单,用到了几款比较通用的芯片

1、CYPD3171 CCG3PA

CYPRESS赛普拉斯 CYPD3171 CCG3PA 第三代产品。其内建ARM Cortex-M0处理器,64KB Flash 8KB SRAM,支持QC4.0,苹果2.4A,三星AFC和BC1.2充电协议。

然后我们找到了官网的datasheet,找到了该芯片的详细介绍

General Description EZ-PD™ CCG3PA is Cypress’ highly integrated USB Type-C port controller that complies with the latest USB Type-C and PD standards and is targeted for PC power adapters, mobile chargers, car chargers, and power bank applications. In such applications,CCG3PA provides additional functionalities and BOM integration advantages. CCG3PA uses Cypress’ proprietary M0S8 technology with a 32-bit Arm® Cortex™-M0 processor, 64-KB flash, a complete Type-C USB-PD transceiver, all termination resistors required for a Type-C port, an integrated feedback control circuitry for voltage (VBUS) regulation and system-level ESD protection. It is available in 24-pin QFN and 16-pin SOIC packages

然后我们研究了下拆卸的电路板 结合资料—然后发现了充电协议的芯片电路 这里写图片描述

使用USB通信 USB D-/Port 3 pin 1: GPIO/UART_1_RX0/AFC/QC/BC 1.2/Apple Charging/IEC USB D+/Port 3 pin 0: GPIO/UART_1_TX0/AFC/QC/BC 1.2/Apple Charging/IEC

2、W25X40CL存储器

Winbond华邦 W25X40CL存储器,4Mbit,工作电压范围2.3-3.6V,用来存储IDTP9237配置

看到Winbond后,突然有种无处不见的感觉,很多嵌入式系统中的常客啊

这里写图片描述

引脚和以前用过的W25Q64一样,就直接贴以前设计的图了

这里写图片描述

使用SPI通信 (1)SDI – SerialData In,串行数据输入; (2)SDO – SerialDataOut,串行数据输出; (3)SCLK – Serial Clock,时钟信号,由主设备产生; (4)CS – Chip Select,从设备使能信号,由主设备控制。

3、 PSMN2R4

Nexperia安世半导体 PSMN2R4

这里写图片描述

RS保时捷版无线充电器使用了四颗 PSMN2R4 MOS管组成H桥驱动电路;

4、P9237

该板子的重头戏,IDT公司的P9237,不过想起了99元的小米无线充电器也使用了这款芯片。。。 可是无线充电方案中IDT确实是一家独大 然后在网上和关上确确实实也找不到P9237的资料。。。 这里写图片描述

不过我们的目的聊的是无线充电,因此找了一个功率也为10W的IDT芯片来了解下工作原理和电路搭建

15W的P221-R芯片 这里写图片描述

官网的datasheet确实良心也提供了电路设计参考,这里就直接贴上电路图了 这里写图片描述

本来打算以拆卸华为Mate RS保时捷版无线充电器引出的无线充电技术的 可是发现单单充电器的引子都太长了,因此分上下篇来聊吧

三、无线技术

AM335x硬件开发使用指南(一)

聊聊无线充电——华为Mate RS保时捷版无线充电器详解(上篇) https://blog.csdn.net/weixin_42124889/article/details/80290757

聊聊无线充电——华为Mate RS保时捷版无线充电器详解(下篇) https://mp.weixin.qq.com/s/9o8-7YANXCiydjQ8u-EDsg

手机快充技术——快充技术&硬件详解 https://blog.csdn.net/weixin_42124889/article/details/80255434

一文知晓高通晓龙845 https://mp.weixin.qq.com/s?__biz=MzU2NjYwMzQ1Ng==&mid=2247483678&idx=1&sn=c396ca9582a7f5124e0b00cb94d6a482&chksm=fca8bab1cbdf33a79189346c9ed964bca08f446427f67a509b3577bec2fd533fe6aeb39d5eaf#rd

A12 chip即将来临,是IPhone XI? https://mp.weixin.qq.com/s/j3IJdhY1CKS7aW120zgs8Q

这里写图片描述

欢饮关注我的公众号

手机快充技术——快充技术&硬件详解

The Bigger the better?

Wferr 2015-04-22

手机快充技术——快充技术&硬件详解

快充技术的四大家族 ——— 高通的QuickCharge版(如QC2.0、QC3.0、QC4.0) 联发科版(Pump Express和Pump Express plus) OPPO 的VOOC技术(SuperVOOC和VOOC) 兼容QuickCharge协议和海思快充协议华为快充技术

后来加入的各类快充技术基本都是基于以上四种:如三星FastCharge、华硕手机的快速充电,这两个穿上高通的马甲;魅族的mCharge则穿上联发科PEP的马甲;


以上四种充电模式归根到底都是基于一下两种电流模式:

高电压高电流模式:这种方式同时增大电流与电压,这样由公式P=UI,我们可以知道的是,这种方式是增大功率最好的办法,但增大电压的同时会产生更多的热能,这样其中所消耗的能量也是越多,并且电压与电流不是无限制的随意增大。

低电压高电流模式:在电压一定的情况下,增加电流,可以使用并联电路的方式进行分流,恒定电压下,进行并联分流之后每个电路所分担的压力越小,在手机中也进行同样处理的话,这个每条电路所承受的压力也就越小。

高压快充的代表则是高通的QuickCharge和联发科的Pump Express 低压快充的代表主要有OPPO的VOOC、华为的SuperCharge、Magic Power


一、高通的QuickCharge版

我们先来聊聊高通的快充技术,现在高通QC4.0已经发布,但是目前市面上常见的高通Quick Charge快充标准大多为QC2.0和QC3.0两种;

下面插入一张图片, 可以清晰地可以看出QC1.0到QC4.0的各种差别和进化之路

这里写图片描述


聊聊高通的QuickCharge快充技术

高通的QuickCharge快充技术是通过USB端口的D+和D-来个信号实现调压,加上协议;

快充技术的原理,通过USB端口的D+与D-的不同电压给合,来向充电器申请相应的输出电压供手机充电。 QC2.0并不是简单的D+与D-的组合就可以让充电器输出所需的电压,而是还有一些协议在里面,需要先发送握手信号,比如1.5s的握手电压组合,才能进行下一步的输出,否则,将D+与D-电平设置好是不会改变充电器的输出电压的,这也是为了更好的保护非QC2.0技术的手机,不会因为误触发了充电器的升压机制而烧毁手机,下图是QC2.0充电器原理图的调压部分(使用的是国产的一块芯片;而在手机中很多使用的是CHY100芯片)。

这里写图片描述


二、联发科Pump Express快充技术

联发科的Pump Express快充技术,是通过USB端口的VBUS来向充电器通讯并申请相应的输出电压的。 Pump Express是通过VBUS上的电流脉冲来通讯,但最终的目的是提升充电器的电压到5V,7V,9V。 这里写图片描述

三、OPPO VOOC闪充技术

大家对OPPO最熟悉的莫过于2件事 1、充电5分钟,通话2小时 2、满大街的OPPO线下店面和综艺冠名

现在我们来聊聊第一件事,OPPO是如何做到充电5分钟,通话2小时的; OPPO官方称自己的快充技术为VOOC,现在又推出了Super VOOC;

低电压高电流标准的OPPO VOOC闪充技术,VOOC选择的是保持电压不变,通过加大电流的方式提升充电速度

VOOC闪充的充电器有专门的芯片,MCU单片微型计算机来取代传统充电电路中的降压电路,智能的MCU管理芯片可以自动识别当前充电设备是否支持VOOC闪充; 专门的适配器、电池、数据线、电路、接口,所有这一切都满足时候,才能开启闪充,实现4.5A大电流输出输入。如果检测到不支持,会自动使用稳定充电电流实现慢速充电。


OPPO的SuperVOOC技术

这里写图片描述

在了解超级闪充技术之前,先熟悉一下手机电池充电的三个阶段,分别为恒流预充电、大电流恒流充电和恒压充电。当手机处于低电量时,电压也会相应降低,此时充电器会使用较低的电流对电池进行充电,当电池的电压高于预定数值后,就会采用恒流充电。 OPPO的最新闪充技术正是利用了这样的工作原理,对电流进行严格的调控,这样的好处在于,避免了增压提升功率的方式,因此在线路损耗方面会减少很多,并且有效遏制了手机发热的现象。


四、兼容QuickCharge协议和海思快充协议华为快充技术

这里写图片描述

这里写图片描述

高考后,电子工程师的专业是什么,电子工程师是怎么进阶的!!

The Bigger the better?

Wferr 2015-04-22

高考后,电子工程师的专业是什么,电子工程师是怎么进阶的!!

此文比较长,适合于入门到进阶到高级工程师的路程。由于高考后,许多学生也会选择电子行业相关专业,因此也写一篇关于电子工程师是做什么的和需要会什么技能的文章。 此文适合于:选择电子专业的学生、踏入工作不久比较没方向的电子人。

第①:电子工程师是干嘛的

中兴事件以后,越来越多的人知道了“集成电路产业”,或许你们4年后出来,你们刚刚好站在了这个行业的刚需上了,与集成电路产业相关的一些工作一般是干嘛呢?这里主要聊聊电子工程师是干嘛的

划重点,下图就是你要干的活啦 这里写图片描述 电子工程师成长离不开哪些软技能?

以下是进行电子工程师所需软技能总结 简单的来说,电子工程师需要:会产品分析、会电路分析、会电路设计、会画PCB、会焊电路板、会测试、会调试、会简单的软件编写、会FPGA、会DSP、会ARM,会……

总的来说,除了泡妞技能没有什么是你不会的 这里写图片描述

第②:电子工程师相关专业

电子信息工程:主要研究信息的获取与处理,电子设备与信息系统的设计、开发、应用和集成。电子信息工程已经涵盖了社会的诸多方面。电子信息工程专业是集现代电子技术、信息技术、通信技术于一体的专业。 微电子科学与工程:相当于电子产品的脑细胞,研究半导体材料上构成的小型化电路、电力及系统的电子分支。微电子科学与工程是物理学、电子学、材料科学、计算机科学、集成电路设计制造学等多个学科和超净、超纯、超精细加工技术基础上发展起来的一门新兴学科。 通信工程:是电子工程的一个重要分支,电子信息类子专业,同时也是其中一个基础学科。简单说就是实现人与人、人与计算机、计算机与计算进行信息交换的链路,从而达到信息共享。比如4G/5G技术,互联网、Wi-Fi等都属于此范畴。 这里写图片描述

第③:电子工程师进阶宝典

怎么说,如果最后你还是决定选择了电子专业,而且致力于成为电子人而奋斗的话,送你一个表情看看。

这里写图片描述

你!!!!!!!!!!!!! 真的是认真的吗!!!! 那么笔者通过多年的经验传你一本如来神掌秘籍。

这里写图片描述

祝你在暑假中实现弯道超车,走向幸福的开挂人生

1、入门级宝典

《电路》—— 邱关源 《模拟电子基础》——童诗白 《数字电子基础》——阎石

以上三门书,足以让你欲仙欲死的了,因此笔者推荐一本简单容易的书给你们。

《爱上制作:75个最棒的制作项目》

暴力入门电子世界,从这本书中,你可以知道大部分的电子器件和电子工具。

2、助理工程师级别

这时候你需要一门计算机语言来支持你接下来对新世界的发现,强烈推荐C语言

书籍推荐:《C Primer Plus》中文版还是英文版任君喜爱, 强调一点,别在看高校最喜欢发的那本了(这里不好直接指明)

看完C以后,我们需要实操了吧,这个时候,建议学单片机了。 单片机书籍随便哪一本都可以,先从头看到尾吧,最好是有实物单片机实验泡一下,这下好歹你也是做过项目的人了。

好了这下你可以出去应聘,当个助理工程师了

这里写图片描述

3、入门级工程师

这下你可是不仅仅是跟着师傅工作了,你也可以开始从事一些有小小难度的工作了,这个时候,你要掌握一门画电路板的软件了,Cadence、AD、Protel等等,这里强烈推荐Cadence和allegro,因为画起电路板,速度感觉不要不要的,我也是碰了Cadence和allegro再也不想碰其他画板软件了。

这里推荐于博士的视频教学

除了会画电路板外,你还得会简单的焊接,因为在后续的电路板调试过程你会碰到各种各样的问题,焊接是最基本的了。

焊电路板的秘籍只能多动手了

这下你出去行走江湖基本就是不怕饿死的状态了。 很多应届生出去也是这个水平了。

4、可要独立工作的电子工程师

恭喜你看到这一步了,这可了不得了。 这一步可以说很多人今后都停留在这里,卡在这个瓶颈出不去,最后分享个通过此瓶颈的简单,但是耗时间的方法。

电子器件datasheet得会看、会分析电路。可要编写简单测试程序。

看datasheet,由于很多第一手资料都是英文的,所以基本英语单词得懂咯,当你看了10篇或以上后,你就会发现所有的datasheet用来用去都是那几个词,你记住几个关键参数单词基本就没问题了。 但是英文是很重要的技能哟

电路分析。这个除了经验外,还是需要你建立起对以前工作的一些经验,一般电路分析,无非就是:CPU模块、外设模块、电源模块

这个时候,你就得将行业经典的书籍翻出来都看一看了,这个也是你突破此瓶颈的方法之一。 这里写图片描述

建议看完这些说,你基本就上了一个档级的了。

4、可要独立工作的稍高级电子工程师

一般到这个职位,都是可要当个小组长什么的了

这个时候你可是要精通一们ARM或则FPGA某一个分支的活啦

还是学会带小朋友们。

生产工艺得跟吧。

成本估计得会吧!!

说多都是泪呀!

这里写图片描述

祝你在暑假中实现弯道超车,走向幸福的开挂人生

文章的第三个推文则为上面推荐的书籍下载链接

如果觉得写得不错,晚上有没有宵夜吃就看你们的了 这里写图片描述

欢迎关注我的公众号 这里写图片描述

AM335x硬件开发使用指南(五) https://blog.csdn.net/weixin_42124889/article/details/80624148

AM335x硬件开发使用指南(四) https://blog.csdn.net/weixin_42124889/article/details/80582423

AM335x硬件开发使用指南(三) https://mp.weixin.qq.com/s/LHOcr66ktBisaYdORG-2cQ https://blog.csdn.net/weixin_42124889/article/details/80571506

AMR335x硬件开发使用指南(二) https://mp.weixin.qq.com/s/krjhaz7LvXxiT2a9pvnAFw

AM335x硬件开发使用指南(一) https://blog.csdn.net/weixin_42124889/article/details/80514842

聊聊无线充电——华为Mate RS保时捷版无线充电器详解(上篇) https://blog.csdn.net/weixin_42124889/article/details/80290757

聊聊无线充电——华为Mate RS保时捷版无线充电器详解(下篇) https://mp.weixin.qq.com/s/9o8-7YANXCiydjQ8u-EDsg

手机快充技术——快充技术&硬件详解 https://blog.csdn.net/weixin_42124889/article/details/80255434

一文知晓高通晓龙845 https://mp.weixin.qq.com/s?__biz=MzU2NjYwMzQ1Ng==&mid=2247483678&idx=1&sn=c396ca9582a7f5124e0b00cb94d6a482&chksm=fca8bab1cbdf33a79189346c9ed964bca08f446427f67a509b3577bec2fd533fe6aeb39d5eaf#rd

A12 chip即将来临,是IPhone XI? https://mp.weixin.qq.com/s/j3IJdhY1CKS7aW120zgs8Q

谈谈SPI (Serial Peripheral Interface,串行外设接口)

A Beautiful shot during the night.

Wferr 2015-04-21

谈谈SPI (Serial Peripheral Interface,串行外设接口)

今天我们来一起聊聊谈谈SPI (Serial Peripheral Interface,串行外设接口);


什么是SPI

SPI (Serial Peripheral Interface,串行外设接口)是Motorola 公司推出的一 种同步串行接口技术,是一种高速的,全双工,同步的通信总线;

它以主从方式工作,这种模式通常有一个主设备和一个或多个从设备,需要至少4根线,事实上3根也可以(单向传输时)。

(1)SDI – SerialData In,串行数据输入; (2)SDO – SerialDataOut,串行数据输出; (3)SCLK – Serial Clock,时钟信号,由主设备产生; (4)CS – Chip Select,从设备使能信号,由主设备控制。

两种模式的图示这里写图片描述

在点对点的通信中,SPI接口不需要进行寻址操作,且为全双工通信,显得简单高效。 在多个从器件的系统中,每个从器件需要独立的使能信号,硬件上比I2C系统要稍微复杂一些。

这里写图片描述


使用指南和简单应用

使用到了SPI的4根信号线和电源便可 这里写图片描述

SPI有四种操作模式——模式0、模式1、模式2和模式3,它们的区别是定义了在时钟脉冲的哪条边沿转换(toggles)输出信号,哪条边沿采样输入信号,还有时钟脉冲的稳定电平值(就是时钟信号无效时是高还是低)。每种模式由一对参数刻画,它们称为时钟极(clock polarity)CPOL与时钟期(clock phase)CPHA。

Mode0:CPOL=0,CPHA=0 Mode1:CPOL=0,CPHA=1 Mode2:CPOL=1,CPHA=0 Mode3:CPOL=1,CPHA=1

这里写图片描述

转载一段认为比较好的解释

时钟极性CPOL是用来配置SCLK的电平出于哪种状态时是空闲态或者有效态,时钟相位CPHA是用来配置数据采样是在第几个边沿:

CPOL=0,表示当SCLK=0时处于空闲态,所以有效状态就是SCLK处于高电平时 CPOL=1,表示当SCLK=1时处于空闲态,所以有效状态就是SCLK处于低电平时 CPHA=0,表示数据采样是在第1个边沿,数据发送在第2个边沿 CPHA=1,表示数据采样是在第2个边沿,数据发送在第1个边沿

例如: CPOL=0,CPHA=0:此时空闲态时,SCLK处于低电平,数据采样是在第1个边沿,也就是SCLK由低电平到高电平的跳变,所以数据采样是在上升沿,数据发送是在下降沿。

CPOL=0,CPHA=1:此时空闲态时,SCLK处于低电平,数据发送是在第1个边沿,也就是SCLK由低电平到高电平的跳变,所以数据采样是在下降沿,数据发送是在上升沿。

CPOL=1,CPHA=0:此时空闲态时,SCLK处于高电平,数据采集是在第1个边沿,也就是SCLK由高电平到低电平的跳变,所以数据采集是在下降沿,数据发送是在上升沿。

CPOL=1,CPHA=1:此时空闲态时,SCLK处于高电平,数据发送是在第1个边沿,也就是SCLK由高电平到低电平的跳变,所以数据采集是在上升沿,数据发送是在下降沿。 这里写图片描述

这里写图片描述

需要注意的是:我们的主设备能够控制时钟,因为我们的SPI通信并不像UART或者IIC通信那样有专门的通信周期,有专门的通信起始信号,有专门的通信结束信号;所以我们的SPI协议能够通过控制时钟信号线,当没有数据交流的时候我们的时钟线要么是保持高电平要么是保持低电平